common: Implement clock::reset().
authorTilman Sauerbeck <tilman@code-monkey.de>
Sun, 17 Nov 2019 20:34:38 +0000 (21:34 +0100)
committerTilman Sauerbeck <tilman@code-monkey.de>
Sun, 5 Jan 2020 19:38:11 +0000 (20:38 +0100)
commit427f30b3149afd8423edbd2ecdd59d8097e136c3
tree0aba69d9829baf03be4e85e9bd156f775fc85cd3
parentd6f292a7c11479db134403df91fb152f0ffd1b9b
common: Implement clock::reset().

This puts the MCG back into FBE mode.
src/common/clock.rs