Get sample rate from device instance rather than storing it
[pulseview.git] / test / data /
drwxr-xr-x   ..
-rw-r--r-- 15091 logicsnapshot.cpp