X-Git-Url: http://git.code-monkey.de/?a=blobdiff_plain;f=pv%2Fdata%2Fdecodesignal.hpp;h=dd2ad79dbb790f0553220fe34fbb211ba710ca2f;hb=eee3eab96253315b2a3dc04ffa7051a8ec963c32;hp=04f3b77f45c3393d2bc3fa378929588cff41adc5;hpb=6e7a4a0066c15d99c891765bbc6797d339ac0ec8;p=pulseview.git diff --git a/pv/data/decodesignal.hpp b/pv/data/decodesignal.hpp index 04f3b77..dd2ad79 100644 --- a/pv/data/decodesignal.hpp +++ b/pv/data/decodesignal.hpp @@ -148,10 +148,12 @@ private: void connect_input_notifiers(); + void prepare_annotation_segment(); static void annotation_callback(srd_proto_data *pdata, void *decode_signal); Q_SIGNALS: void new_annotations(); + void decode_reset(); void decode_finished(); void channels_updated(); @@ -168,7 +170,7 @@ private: struct srd_session *srd_session_; shared_ptr logic_mux_data_; - shared_ptr segment_; + shared_ptr logic_mux_segment_; bool logic_mux_data_invalid_; pv::util::Timestamp start_time_; @@ -177,9 +179,14 @@ private: int64_t samples_decoded_; vector< shared_ptr > stack_; - map rows_; map, decode::Row> class_rows_; + /// Annotations for all segments + vector< map> rows_; + + /// Set of annotations for current segment + map *current_rows_; + mutable mutex input_mutex_, output_mutex_, logic_mux_mutex_; mutable condition_variable decode_input_cond_, logic_mux_cond_; bool frame_complete_;