X-Git-Url: http://git.code-monkey.de/?a=blobdiff_plain;f=pv%2Fdata%2Fdecodesignal.hpp;h=3187c850216dc1a4331bcca40d0b2ab504a01331;hb=4913560f88d24909bab12177d7194314df1c9892;hp=3d90f7f7de648e861d117fc24e8b1200b44fe952;hpb=762ab7a4b20928a784b2b3400ce748d901e32d2d;p=pulseview.git diff --git a/pv/data/decodesignal.hpp b/pv/data/decodesignal.hpp index 3d90f7f..3187c85 100644 --- a/pv/data/decodesignal.hpp +++ b/pv/data/decodesignal.hpp @@ -40,7 +40,6 @@ using std::condition_variable; using std::map; using std::mutex; using std::pair; -using std::unordered_set; using std::vector; using std::shared_ptr; @@ -62,7 +61,8 @@ class SignalData; struct DecodeChannel { - uint16_t id; // Also tells which bit within a sample represents this channel + uint16_t id; ///< Global numerical ID for the decode channels in the stack + uint16_t bit_id; ///< Tells which bit within a sample represents this channel const bool is_optional; const pv::data::SignalBase *assigned_signal; const QString name, desc; @@ -148,6 +148,7 @@ private: void connect_input_notifiers(); + void prepare_annotation_segment(); static void annotation_callback(srd_proto_data *pdata, void *decode_signal); Q_SIGNALS: @@ -168,7 +169,7 @@ private: struct srd_session *srd_session_; shared_ptr logic_mux_data_; - shared_ptr segment_; + shared_ptr logic_mux_segment_; bool logic_mux_data_invalid_; pv::util::Timestamp start_time_; @@ -177,9 +178,14 @@ private: int64_t samples_decoded_; vector< shared_ptr > stack_; - map rows_; map, decode::Row> class_rows_; + /// Annotations for all segments + vector< map> rows_; + + /// Set of annotations for current segment + map *current_rows_; + mutable mutex input_mutex_, output_mutex_, logic_mux_mutex_; mutable condition_variable decode_input_cond_, logic_mux_cond_; bool frame_complete_;