X-Git-Url: http://git.code-monkey.de/?a=blobdiff_plain;f=pv%2Fdata%2Fdecodesignal.hpp;h=3187c850216dc1a4331bcca40d0b2ab504a01331;hb=4913560f88d24909bab12177d7194314df1c9892;hp=0e0911521b09973ed2d28fd1ca72efa1f139b0df;hpb=20f59e957e70250cfb876ac7a1743134d6b83339;p=pulseview.git diff --git a/pv/data/decodesignal.hpp b/pv/data/decodesignal.hpp index 0e09115..3187c85 100644 --- a/pv/data/decodesignal.hpp +++ b/pv/data/decodesignal.hpp @@ -61,7 +61,8 @@ class SignalData; struct DecodeChannel { - uint16_t id; // Also tells which bit within a sample represents this channel + uint16_t id; ///< Global numerical ID for the decode channels in the stack + uint16_t bit_id; ///< Tells which bit within a sample represents this channel const bool is_optional; const pv::data::SignalBase *assigned_signal; const QString name, desc; @@ -147,6 +148,7 @@ private: void connect_input_notifiers(); + void prepare_annotation_segment(); static void annotation_callback(srd_proto_data *pdata, void *decode_signal); Q_SIGNALS: @@ -167,7 +169,7 @@ private: struct srd_session *srd_session_; shared_ptr logic_mux_data_; - shared_ptr segment_; + shared_ptr logic_mux_segment_; bool logic_mux_data_invalid_; pv::util::Timestamp start_time_; @@ -176,9 +178,14 @@ private: int64_t samples_decoded_; vector< shared_ptr > stack_; - map rows_; map, decode::Row> class_rows_; + /// Annotations for all segments + vector< map> rows_; + + /// Set of annotations for current segment + map *current_rows_; + mutable mutex input_mutex_, output_mutex_, logic_mux_mutex_; mutable condition_variable decode_input_cond_, logic_mux_cond_; bool frame_complete_;