X-Git-Url: http://git.code-monkey.de/?a=blobdiff_plain;f=pv%2Fdata%2Fdecodesignal.hpp;h=04497ec52ff766ee7acd49bf1b6ff21bf5277275;hb=63253d727162257aa0a3765bfb897c6826ebf611;hp=90c06de4972ebc2268ad943ac5ec87b07fdeb3fc;hpb=72435789a077273d96260f0225f79171e679359e;p=pulseview.git diff --git a/pv/data/decodesignal.hpp b/pv/data/decodesignal.hpp index 90c06de..04497ec 100644 --- a/pv/data/decodesignal.hpp +++ b/pv/data/decodesignal.hpp @@ -137,17 +137,22 @@ public: virtual void restore_settings(QSettings &settings); private: + uint32_t get_input_segment_count() const; + + uint32_t get_input_samplerate(uint32_t segment_id) const; + void update_channel_list(); void commit_decoder_channels(); - void mux_logic_samples(const int64_t start, const int64_t end); + void mux_logic_samples(uint32_t segment_id, const int64_t start, const int64_t end); void logic_mux_proc(); void query_input_metadata(); - void decode_data(const int64_t abs_start_samplenum, const int64_t sample_count); + void decode_data(const int64_t abs_start_samplenum, const int64_t sample_count, + const shared_ptr input_segment); void decode_proc(); @@ -156,7 +161,7 @@ private: void connect_input_notifiers(); - void create_new_segment(); + void create_segments(); static void annotation_callback(srd_proto_data *pdata, void *decode_signal); @@ -179,18 +184,14 @@ private: struct srd_session *srd_session_; shared_ptr logic_mux_data_; - shared_ptr logic_mux_segment_; - uint32_t logic_unit_size_; + uint32_t logic_mux_unit_size_; bool logic_mux_data_invalid_; - uint32_t currently_processed_segment_; - vector< shared_ptr > stack_; map, decode::Row> class_rows_; vector segments_; uint32_t current_segment_id_; - DecodeSegment *current_segment_; mutable mutex input_mutex_, output_mutex_, logic_mux_mutex_; mutable condition_variable decode_input_cond_, logic_mux_cond_;